Welcome to Chip Standard!
About us | Contact us  +86-0755-82195376   CN
Home >News>News center
back
The Golden Age of Custom Silicon Draws Near
Release time: 2023-08-03

Several curious trends can be observed in the semiconductor industry today. On the one hand, development and production of system-on-chips (SoCs) on leading-edge fabrication technologies is getting more expensive. On the other hand, more and more companies are opting to design their own SoCs to differentiate themselves from their rivals despite higher costs. This trend doesn’t benefit suppliers of standard CPUs and SoCs like AMD, Intel and Qualcomm. But it’s very beneficial for other industry players, such as developers of EDA software, IP houses, contract chip designers and, of course, foundries.

Several global megatrends impact the development of microelectronics. They include 5G and edge computing (we would rather call it explosive growth of connected devices), autonomous and software defined vehicles (SDVs), AI, cloud computing and high-performance computing (HPC).

Applications like AI, cloud and HPC can devour any amount of performance given to them, and the main limitations for them are power and space in the data center rather than hardware costs.

Meanwhile, autonomous SDVs and edge computing devices can also take advantage of greater compute performance. But, in addition to power and space, they are also constrained by costs (read, the number of chips they use and die sizes of these chips).

AWS Graviton 2 processor. (Source: AWS)

AI, automotive, cloud, edge computing and HPC are very different applications, and they can be addressed by off-the-shelf chips that are widely available. But tailoring chips precisely for workloads that they’re set to run allows them to achieve required levels of performance and capabilities more efficiently from costs and power perspectives.

“We are seeing an increasing number of companies realize that a one-size-fits-all approach no longer meets their computing needs, and instead they are exploring custom SoC projects to ensure they can use the right level of compute for the right applications,” said Dermot O’Driscoll, VP of product solutions for the infrastructure business line at Arm.

Furthermore, considerations like IP protection, offering differentiation in features, design flexibility and supply longevity are more important than ever. These motivate many companies—both established and starting out—to develop in-house SoCs or order them from a contract maker of chips. The number of these companies is growing.

“There is definitely an uptick in the number of companies designing their own chips,” said Christophe Bianchi, a chief technologist at Ansys, as well as an engineering simulation and EDA software developer. “This shift toward ‘bespoke silicon’ is more apparent in North America, but EMEA and the APAC region are also following that trend.”

“Having a custom SoC means that you have a unique solution that incorporates your special differentiating technologies and is very hard to copy,” said Graham Curren, founder and CEO of Sondrel, a contract chip designer in the U.K. “It protects the company’s IP as this is embedded deep into the chip. In addition, it is a perfectly tailored solution that exactly meets the specifications for the task in terms of power, performance and area.”

Apple M2 Ultra processor, (Source: Apple)

Apple’s a good example of a newcomer that designed its own SoCs—first for smartphones and then for PCs—and reaped huge benefits.

The company started by asking Samsung to implement some of its custom IP into an application processor for its iPhones in the late 2000s, but then quickly established its own chip-development team and started to design highly complex mobile SoCs with custom CPU microarchitectures and accelerators to add value to its iPhones, iPads and things like Apple TV set-top boxes. More recently, the company started to build SoCs for its PCs, again incorporating a variety of custom IP to get both lower power and longer battery life and higher performance in demanding applications.

“A few of the primary reasons for designing a custom SoC is to attach specialized acceleration, implement unique system and packaging topologies, and optimizing cost through vertical integration,” O’Driscoll said.

Apple extracted huge benefits from its M-series SoC efforts: The company’s share on the PC market increased from 6.4% in Q1 2019 (with shipments of 3.791 million units) to 9.3% in Q1 2022 (with sales of 7.324 million units). Apple spent $26.251 billion on R&D in FY2022 (up from $16.217 billion in FY2019) and a huge chunk of this sum is tied to chip development.

Back in the day, chip design was a prerogative of big companies with lucrative products, such as IBM, Cisco and Sun. Then Apple showed the world what can be done with consumer electronics SoCs, and companies like Huawei and Samsung followed suit.

By the mid-2010s, Internet giants got so big and profitable that it made sense for them to design their own silicon.

Today, automakers are going the route of Apple.

“The number of companies designing their own chips has exploded in recent years,” said Dan Hutchenson, vice chair at TechInsights. “Originally, it was the last vestiges of the old captive and/or ASIC days, where notably IBM, Amdahl, Cisco and Sun (now Oracle) were joining the fabless/foundry movement. Then Apple jumped in, and things heated up for mobile APs. By 2015, all the hyperverticals like Google, Meta, Amazon, Baidu and Tesla were designing their own chips. Then venture capitalists started coming to fund AI and smart power start-ups. Starting in 2021, the major automakers began to design their own chips.”

Hyperscalers leading the pack

For now, Apple’s the only large PC maker that designs its own custom processors. Given the fact that PC makers clearly have less control over Windows development than Apple does over MacOS development, they’re less inclined to build their own SoCs as their success would depend on support by Microsoft.

Unlike PC makers, hyperscalers have nearly absolute control over their hardware and software, which makes it very plausible for them to develop their own bespoke SoCs.

“The initial driver for bespoke silicon came from the hyperscalers: Both the AI compute and the cloud performance demands fueled that growth,” Bianchi said.

A Google data center. (Source: Google)

Google operates some of the world’s most popular Internet services and has a multifaceted custom data center silicon strategy with its tensor processing unit (TPUs) for AI training and inference acceleration, video transcoding units (VCUs) for YouTube, and reportedly even server SoCs. It remains to be seen whether Google’s rumored server SoCs will be better for the company than AMD’s Epyc or Intel’s Xeon CPUs.

Meanwhile, the company’s TPUs and VCUs already bring performance, scalability, features and costs that Google needs to expand its AI and video services.

“Costs and customization drive these companies to develop their own chips,” said Karl Freund, founder and principal analyst at Cambrian-AI Research, who said he believes that AI workloads these days are among the key applications that hyperscalers would like to address with their own silicon.

Google’s VCU. (Source: Google)

“Hyperscalers can make the silicon cheaper than if they were to purchase it at high volumes, cutting out the intermediary,” said Kam Kittrell, VP for product management in the digital and signoff group at Cadence, an EDA tools and IP giant. “Often, these companies are consumers of their own cloud and have valuable, specialized software. They can make specific hardware that is more energy efficient in delivering this software workload.”

Amazon Web Services (AWS), one of the world’s leading cloud service providers (CSPs) that enables Amazon’s own online store and storehouse management—along with services like Netflix—has a different silicon strategy than Google.

To provide its customers sufficient compute performance and capabilities with predictable power consumption of its data centers, AWS designed its own server-grade Graviton SoCs for general-purpose computing. AWS’s Graviton’s are now in their third generation.

“There has been a remarkable increase in the number of companies doing chips in-house, particularly in that area of hyperscalers, where they can get differentiation for their workloads in their data center,” said John Koeter, a marketing and strategy VP for the solutions group at Synopsys.

Companies like AWS, Baidu, Google, Meta and Microsoft Azure run so many different workloads that it looks to be a matter of time before they transition all of them to custom silicon to increase their performance efficiency. These companies’ deep pockets allow for development of bespoke SoCs.

“The biggest growth we have seen is in the data infrastructure space, which includes cloud, data enters, networking, storage and 5G infrastructure,” said Sudhir Mallya, a marketing executive at Alphawave Semi. “When you look at it today, the growth in custom silicon for the data center infrastructure has been amazing. We saw the trend several years ago when the large hyperscalers like Google, Microsoft, AWS and Facebook (Meta) started to design their own silicon.”

AI and HPC: Different approaches

Meanwhile, there are multiple developers of data-center-grade SoCs that specifically target AI and HPC applications with their custom processors, SoCs, and multi-chiplet system-in-packages. These custom-built AI and HPC solutions tend to offer formidable performance and are rather tough and expensive to make as some of them have die sizes that approach those of an EUV reticle (858 mm2), Koeter said.

Google’s TPU board (Source: Top500.org)

There are plenty of standard products for AI and HPC from established players. AMD addresses AI and HPC workloads with its Instinct compute GPUs and EPYC processors (which use chiplet designs).

Intel has a multi-faceted strategy that involves monolithic Habana processors for AI, multi-chiplet Data Center GPU Max for AI and HPC, and multi-chiplet fourth-generation Xeon Scalable CPU for pretty much everything.

By contrast, Nvidia went with a monolithic design with its latest H100 product. All of these are off-the-shelf products with their own peculiarities that come from companies with vast R&D budgets and headcounts.

Nonetheless, there are numerous newcomers that are set to challenge industry giants in the coming years.